D.-Y. Chen, C.-H. Lee, K.-J. Lee, N.-H Tsend, H.W. Hung, and H.-Y Yang, “An On-Chip High-resolution Delay Measurement Scheme for TSVs in 3DIC,” Asia Pacific Conf. on Circuits & Systems, 2024.
Y.-C. Lin, and K.-J. Lee, “A Lightweight Memory Protection Scheme with Criticality-Aware Encryption and Embedded MAC for Secure DNN Accelerators, Asia Pacific Conf. on Circuits & Systems, 2024.
P.-W. Chen, K.-J. Lee, “Test Chip Design for Small Delay Defects Based on C-testable Arrays and Mutually Orthogonal Latin Squares,” VLSI DESIGN/CAD Symp, 2024.
D.-Y. Chen, K.-J. Lee, N.-H. Tseng, H.-W. Hung, and H.-Y. Yang, “An On-chip High-resolution & High-accuracy Delay Measurement Scheme for TSVs in 3DIC,” VLSI DESIGN/CAD Symp, 2024.
H.Y. Chen, K.-Y. Peng and K.-J. Lee, “A Novel Unified Modular Arithmetic Unit for Elliptic Curve Cryptography,” Intl’ VLSI Symp. Technology, Systems and Applications, 2023.
S.-C. Yeh, K.-J. Lee and D.-Y. Chen, “An Authentication-Based Secure IJTAG Network,” Asian Test Symposium 2022.
Y.-F. Chen, D.-Y. Kang and K.-J. Lee, “Scan-Based Test Chip Design with XOR-based C-testable Functional Blocks,” Int’l Test Conf. 2022.
D.-Y. Kang, S.-N. Lin and K.-J. Lee, “Diagnosing Transition Delay Faults under Scan-Based Logic Array,” Int’l Test Conf. in Asia, 2022.
S.-X. Zheng, C.-Y. Yeh, K.-J. Lee, C. Wang, W.-T. Cheng, M. Kassab, J. Rajski, S. M. Reddy, “Accurate Estimation of Test Pattern Counts for a Wide-Range of EDT Input/Output Channel Configurations,” VLSI Test Symposium, 2022.
H.-Y. Chi and K.-J. Lee, “Lightweight Hardware-Based Memory Protection Mechanism on IoT Processors,” IEEE Asian Test Symp., 2021.
S.-X. Zheng, C.-S. Ye, K.-J. Lee, “Pattern Count Estimation and Optimum Configuration Selection for Test Compression Configurations in Scan-Based Design,” VLSI Test Technology Workshop, 2021, Best paper award.
F.-J. Tsai, C.-S. Ye, Y. Huang, K.-J. Lee, W.-T. Cheng, S. M. Reddy, M. Kassab, J. Rajski, S.X. Zheng, “Prediction of Test Pattern Count and Test Data Volume for Scan Architectures under Different Input Channels Configurations,”IEEE International Test Conference (ITC), 2020.
F.-J. Tsai, C.-S. Ye, Y. Huang, K.-J. Lee, W.-T. Cheng, S. M. Reddy, M. Kassab, J. Rajski, S.X. Zheng, “Estimation of Test Data Volume for Scan Architectures with Different Numbers of Input Channels,”IEEE International Test Conference in Asia (ITC-Asia), 2020.
K.-J. Lee, et al., High Security and Low Power Integrated Circuits and Systems for IoT Design and Analysis, VLSI DESIGN/CAD Symp, 2020.
F.-J. Tsai, C.-S. Ye, Y. Huang, K.-J. Lee, W.-T. Cheng, S. M. Reddy, M. Kassab, J. Rajski, S.-X. Zheng, “Prediction of Test Data Volume for Scan Architectures with Different Input Commpression Ratio, VLSI Test Technology Workshop, 2020.
F.-J. Tsai, C.-S. Ye, Y. Huang, K.-J. Lee, W.-T. Cheng, S. M. Reddy, M. Kassab, J. Rajski, “Efficient Prognostication of Pattern Count with Different Input Compression Ratios,” European Test Symp., May, 2020.
C-H. Wu, Y. Huang, K.-J. Lee1, W.-T. Cheng2, G. Veda, S. M. Reddy, C.-C. Hu, C.-S. Ye, “Deep learding based test compression analyzer,”Asian Test Symp. 2019.
M.-H. Kuo and K.-J. Lee, “Time-Related Hardware Trojan Attacks on Processor Cores,”Int’l Test Conf. in Asia, 2019.
M.-H. Kuo and K.-J. Lee, “Designing Time-Based Hardware Trojans,”VLSI Test Technology Workshop, 2019.
C.-J. Shang, C.-H. Wu, K.-J. Lee, and Y.-H. Chen, “A Novel Test Generation Method for Small Delay Defects with User-Defined Fault Model,”, IEEE Int’l VLSI Symp. on Design, Automation and Test, 2019.
Y.-C. Kung, K.-J. Lee, S. M. Reddy, “Generating Compact Test Patterns for DC and AC Faults Using One ATPG Run, IEEE International Test Conference (ITC), pp. 1-10, 2018.
C.-C. Wu, M.-H. Kou, K.-J. Lee, “A Dynamic-Key Secure Scan Structure Against Scan-Based Side Channel and Memory Cold Boot Attacks,” IEEE Asian Test Symposium (ATS), pp. 48-53, 2018.
Y.-C. Kung, K.-J. Lee, and S. M. Reddy, “Generating Compact Test Patterns for Stuck-at Faults and Transition Faults in One ATPG Run,”IEEE International Test Conference in Asia (ITC-Asia), pp. 1-6, 2018.
Y.-C. Kung, K.-J. Lee and S.M. Reddy,“Compact Test Pattern Generation for Stuck-at Faults and Transition Faults,”in Proc., VLSI Test Technology Workshop, 2018.
C.-H. Wu, K.-J. Lee and S.M. Reddy, “Test generation for open and delay faults in CMOS circuits,” IEEE International Test Conference in Asia, PP. 21-26, 2017.
C.-H. Chen, Y.-C Kong and K.-J. Lee, “Test Compression with Single-Input Data Spreader and Multiple Test Sessions,” Page 28-33, Asian Test Symposium, 2017.
S.-L. Hong and K.-J. Lee, “A Run-Pause-Resume Silicon Debug Technique with Cycle Granularity for Multiple Clock Domain Systems,” IEEE Int’l Test Conference, Page 1 – 10, 2017.
C.-H. Wu, K.-J. Lee and S. M. Reddy, “Test Generation for Open and Delay Faults in CMOS Circuits,” IEEE Int’l Test Conference in Asia, Paper C1-2, 2017.
K.-J. Lee, P.-H. Tang, M. A. Kochte and B.-R. Chen, “An On-Chip Self-Test Architecture with Test Patterns Recorded in Scan Chains, VLSI DESIGN/CAD Symp, 2017.
S.-L. Hong and K.-J. Lee, “A Silicon Debug Technique for Multiple Clock Domain Systems,” VLSI Test Technology Workshop, Paper S1-2, 2017.
S.-L. Hong and K.-J. Lee, “A Run-Pause-Resume Silicon Debug Technique for Multiple Clock Domain Systems,” IEEE Int’l Test Conference in Asia, pp.46-51, 2017.
H.-P. Kuo, A. P. Su and K.-J. Lee, “A Low Power Synthesis Flow for Multi-Rate Systems,” Paper D6.1, IEEE Int’l VLSI Symp. on Design, Automation and Test, pp. 1-4, 2017.
S.-L. Lin, C.-H. Wu, K.-J. Lee, “Repairable Cell-Based Chip Design for Simultaneous Yield Enhancement and Fault Diagnosis,” Paper 1B.1, Asia Test Symposium, 2016.
W.-C. Lien and K.-J. Lee, “Output Bit Selection Methodology for Test Response Compaction,” Paper TC.2, IEEE Int’l Test Conf., 2016
C. H. Wu, S. J. Lee and K. J. Lee, "Test and diagnosis pattern generation for dynamic bridging faults and transition delay faults," Asia and South Pacific Design Automation Conference, 2016
C. M. Shiao, W. C. Lien and K. J. Lee, "A Test-per-cycle BIST architecture with low area overhead and no storage requirement," International Symposium on VLSI Design, Automation and Test, 2016
K.-J. Lee, P.-H. Tang and M. A. Kochte, “An On-Chip Self-Test Architecture with Test Patterns Recorded in Scan Chains,” Paper 16.3, IEEE Int’l Test Conf., 2016
C.-H. Wu, K.-J. Lee, “Transformation of Multiple Fault Models to a Unified Model for ATPG Efficiency Enhancement,” Paper 16.1, IEEE Int’l Test Conf., 2016
J.-C. Ye, M. A. Kochte, K.-J. Lee, and H-J. Wunderlich, “A High-Efficiency 3D-IC Test Architecture with IEEE Std. 1687, Post-E, VLSI DESIGN/CAD Symp., 2016
C.-H. Wu, K.-J. Lee, “An Efficient Test Pattern Generation Method for Cell-Internal Faults,” VLSI DESIGN/CAD Symp., Paper S5-2, 2016. (Best paper award)
S.-L. Lin, C.-H. Wu, K.-J. Lee, “Repairable Cell-Based Chip Design for Simultaneous Yield Enhancement and Fault Diagnosis,” VLSI Test Technology Workshop, 2016
W.-H. Hsu, M. A. Kochte, and K.-J. Lee, “3D-IC Test Architecture for TSVs with Different Impact Ranges of Crosstalk Faults,” IEEE Int’l VLSI Symp. on Design, Automation and Test, 2016
J.-C. Ye, M. A. Kochte, K.-J. Lee, and H-J. Wunderlich, “Autonomous Testing for 3D-ICs with IEEE Std. 1687,” Paper 5C.1, Asian Test Symposium, 2016.
L.-Y. Lu, C.-Y. Chen, Z.-H. Chen, B.-T. Yeh, T.-H. Lu, P.-Y. Chen, P. H. Tang, K.-J. Lee, L.-Y. Chiou, S.-J. Chang, C.-H. Tsai, C.-H. Chen, and J.-M. Lin, “A Testable and Debuggable Dual-Core System with Thermal-Aware Dynamic Voltage and Frequency Scaling,”IEEE Asia and South Pacific Design Automation Conference, 2016.
C.-H. Wu, S. J. Lee and K.-J. Lee, “Test and Diagnosis Pattern Generation for Dynamic Bridging Faults and Transition Delay Faults,” IEEE Asia and South Pacific Design Automation Conference, 2016
C.-H. Wu, K.-J. Lee and S.-T. Wang, “Diagnosis Pattern Generation to Distinguish Inter-Gate and Intra-Gate Faults in CMOS Logic Circuits,”IEEE Workshop of Register-Transfer and High Level Testing, S1.4, 2015.
C.-M. Shiao, W.-C. Lien and K.-J. Lee A Circular BIST Architecture Using Internal Responses of Circuits for Reseeding and Extra Observation, IEEE Workshop of Register-Transfer and High Level Testing, S4.3, 2015.
C.-H. Wu, S. J. Lee and K.-J. Lee, “Distinguishing Dynamic Bridging Faults and Transition Delay Faults,”IEEE Int’l Conf. on ASIC.
C.-H. Wu, K.-J. Lee, and S.-T. Wang, “Diagnosis pattern generation for inter-gate and intra-gate faults in CMOS circuits,” VLSI DESIGN/CAD Symp., Paper S14-1, 2015.
C.-H. Wu, K.-J. Lee, “An efficient diagnosis pattern generation method for stuck-at-faults with high test compaction,” VLSI DESIGN/CAD Symp., Paper S02-5, 2015. (Best paper award)
C.-H. Wu, K.-J. Lee, and S.-T. Wang, “Diagnosis pattern generation to distinguish transition delay faults and transistor stuck-open faults,” VLSI Test Technology Workshop, Paper S1.1, 2015 (Best paper award).
C.-H. Wu, and K.-J. Lee, “Improve Transition Fault Diagnosability Via Observation Point Insertion,” IEEE Int’l VLSI Symp. on Design, Automation and Test, 2015.
H.-C. Chen, C.-R. Wu, K. S.-M. Li, and K.-J. Lee, “A Breakpoint-Based Silicon Debug Technique with Cycle-Granularity for Handshake-Based SoC,” IEEE Design, Automation and Test in Europe, pp. 1281-1284, 2015.
Li, L.C., Hsu, W. H., Lee, K. J., & C. L. Hsu, An efficient 3D-IC on-chip test framework to embed TSV testing in memory BIST. In 20th Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 520-525, 2015.
W.-C. Lien, K.-J. Lee, K. Chakrabarty, T.-Y. Hsieh, and C.-H. Wu, “Compression of test response with many unknown values using multiple counters,” VLSI DESIGN/CAD Symp., Paper S15-4, 2014.
Y.-D. Wang, and K.-J. Lee, “Efficient Diagnosis Pattern Generation for Transition Faults Using Combinational Circuit Model,” IEEE Workshop of Register-Transfer and High Level Testing, pp. I.4.S, 2014.
W.-C. Lien, K.-J. Lee, K. Chakrabarty, and T.-Y. Hsieh, “Output-Bit Selection with X-Avoidance using Multiple Counters for Test-Response Compaction, IEEE European Test Symp., May 2014.
Y.-D. Wang, and K.-J. Lee, “Efficient Diagnosis Pattern Generation for Transition Faults Using Combinational Circuit Model,” invited paper, IEEE Int’l Conf. Solid-State Integrated Circuit Technology, 2014.
C.-H. Wu, K.-J. Lee, and W.-C. Lien, “An efficient diagnosis method to deal with multiple fault-pairs simultaneously using a single circuit model,” IEEE VLSI Test Symp., pp. 240-245, 2014.
C.-H. Wu, and K.-J. Lee, “An Efficient Diagnosis Pattern Generation Procedure to Distinguish Stuck-at Faults and Bridging Faults,” IEEE Asian Test Symp. pp. 306-311,, 2014.
C.-H. Wu, K.-J. Lee, and W.-C. Lien, “An efficient stuck-at-fault diagnosis model using a single circuit model,” VLSI DESIGN/CAD Symp., Paper S15-1, 2014.
W.-C. Lien, K.-J. Lee, K. Chakrabarty, and T.-Y. Hsieh, “Output Selection for Test Response Compaction Based on Multiple Counters,” IEEE Int’l VLSI Symp. on Design, Automation and Test., pp. DR112, Apr. 2014.
C.-H. Wu, K.-J. Lee, and W.-C. Lien, “An efficient diagnosis pattern generation procedure,” VLSI Test Technology Workshop, Paper S1.1, 2014.
Lee, K. J., & Wu, C. H. (2014, October). An efficient diagnosis-aware pattern generation procedure for transition faults. In IEEE International Test Conference (ITC), pp. 1-10, 2014.
H.-C. Chen, K. S.-M. Li, and K.-J. Lee, “A breakpoint-based silicon debug technique with cycle-granularity for handshake-based SOC,” IEEE Workshop of Register-Transfer and High Level Testing, pp. I.4.S, 2013.
W.-C. Lien, K.-J. Lee, T.-Y. Hsieh, and K. Chakrabarty, “A new LFSR reseeding scheme via internal response feedback,” IEEE Asian Test Symp. pp. 6B-3, 2013.
W.-C. Lien and K.-J. Lee, “Output Bit Selection Methodology for Test Response Compaction,” IEEE Design Automation Conference, 2013, pp. 1-2. (PhD Forum).
K.-J. Lee, C.-Y. Chang, and H.-Y. Yang, “An Efficient Deadlock-Free Multicast Routing Algorithm for Mesh-Based Networks-on-Chip,” IEEE VLSI-DAT Symposium, Paper No. DR61, 2013 (best paper award candidate).
W.-C. Lien, K.-J. Lee, T.-Y. Hsieh and K. Chakrabarty, “A New Selection Algorithm to Avoid Unknown Responses via Counter-Based Output Selection Scheme,” The 8th VLSI Test Technology Workshop, Sec. 4, pp. 4-1, 2013.
W.-C. Lien, W.-L. Ang, T.-Y. Hsieh and K.-J. Lee, “High-Performance Deterministic BIST Using Multiple Twisted-Ring Counters,” The 23th VLSI DESIGN/CAD Symposium, Sec. 15, pp. 15-5, 2012.
W.-C. Lien, K.-J. Lee, T.-Y. Hsieh, K. Chakrabarty and Y.-H. Wu, “Test Response Compaction Based on a Single Counter,” The 7th VLSI Test Technology Workshop, Sec. 3, pp. 3-1, 2012. (Best Paper Award)
W.-C Lien, K,-J. LEE and T.-Y. Hsieh, “Output Bit Selection for Test Response Compaction,”Int’l Conf. in Solid-State Integrated Circuit Technology(ICSICT), 2012
W.-C Lien, K,-J. LEE and T.-Y. Hsieh,“A Test-Per-Clock LFSR Reseeding Algorithm for Concurrent Reduction on Test Sequence Length and Test Data Volume,” Asian Test Symposium, 2012
W.-C Lien, T.-Y. Hsieh, K,-J. LEE, and K. Chakrabarty, “Accumulator-Based Output Selection for Test Response Compaction, “IEEE Int’l Symp. On Circuits and Systems, May 2012.
W.-C Lien, T.-Y. Hsieh, and K,-J. LEE, “Routing-Efficient Implementation of an Internal-Response-Based BIST Architcture, “2012 VLSI-DAT Symposium,(Best Paper Award)
K.-J. Lee, Alan Su, L.-F. Chen, J.-W. Jhou, Jiff Kuo, Mark Liu, “A Software/Hardware Co-Debug Platform for Multi-Core Systems” IEEE 9th International Conference on ASIC (ASICON 11), Paper 2E-2, 2011.
K.-J. Lee, C.-Y. Chang and I-J. Chen, “EPIDETOX: An ESL Platform for Integrated Circuit Design and Tool Exploration,” Embedded System Week, 2011
A. Su, J. Kuo, K.-J. Lee, I.-J Huang, G.-A. Jian, C.-A. Chien, J.-I. Guo, C.-H. Chen, “Multi-core software/hardware co-debug platform with ARM , on-chip test architectural and AXI/AHB bus monitor” International Symposium on VLSI Design, Automation and Test(VLSI-DAT), Page(s): 1-6, 2011
W.-C. Lien, T.-Y. Hsieh, C.-T. Tsai andK.J. Lee, “A Rotation-Based BIST with Self-Feedback Logic to Achieve Complete Fault Coverage,” Int’l Symp. VLSI Design, Automation and Test, 2011, Hsinchu, Taiwan, pp. 252-255.(Best Paper Candidate)
W.-C. Lien, K.-J. Lee, T.-Y. Hsieh and S.-S. Chien, “Test Response Compaction via Accumulator-Based Output Selection,” The 22th VLSI DESIGN/CAD Symposium, 2011, Yulin, Taiwan. (Best Paper Award)
W.-C. Lien, K.-J. Lee and T.-Y. Hsieh, “Concurrent Determination of Seeds and Test Sequences for LFSR Reseeding,” The 6th VLSI Test Technology Workshop, July 13-15, 2011.
C.-Y. Chang, Y.-J. Chang, K.J. Lee, J.-C. Yeh, S.-Y. Lin and J.-L. Ma, “Design of On-Chip Bus with OCP Interface,” Int’l Symp. VLSI Design, Automation and Test, pages 211-214, 2010.
W.C. Lien and K.J. Lee, “A Complete Logic BIST Technology with No Storage Requirement,” Asian Test Symposium, 2010, Shanghai, China, pp.129-134.
W.C. Lien and K. J. Lee, “Efficient Mixed-Mode BIST for Complete Fault Coverage,” VLSI Test Technology Workshop, August 18-20, 2010.
W. C. Lien, Y. T. Wang, Y. H. Wu and K. J. Lee, “Counter-Based Output Selection Method for Test Response Compaction,” Electronic Technology Symposium, June 18, 2010.
T.Y. Hsieh, M.A. Breuer, M. Annavaram, S.K. Gupta and K.J. Lee, Tolerance of Performance Degrading Faults for Effective Yield Improvement, Int’l Test Conf, pp. 1-10, 2009.
C.Y. Chang, C.Y. Hsiao and K.J. Lee, Transaction Level Modeling and Design Space Exploration for SOC Test Architectures, Asian Test Symposium, pp. 200-205, 2009.
K.J. Lee, S.Y. Liang and Alan Su, “A Low-Cost SOC Debug Platform Based on On-Chip Test Architectures,” Int’l SOC Conf, pp. 161-164, 2009.
Tong-Yu Hsieh, K.J. Lee, and M. A. Breuer, “An Efficient Multi-Phase Test Technique to Perfectly Prevent Over-Detection of Acceptable Faults for Optimal Yield Improvement via Error-Tolerance,” Int’l Symp. VLSI Design, Automation and Test, pp. 255-258, 2009.
K.J. Lee, Chin-Yao Chang, Alan Su, and Si-Yuan Liang , A Unified Test and Debug Platform for SOC Design, International Conference on ASIC (ASICON), pp.577-580, 2009.
Chin-Yao Chang, Chih-Yuan Hsiao, K.J. Lee and Alan Su, Transaction Level Modeling and Design Space Exploration for SOC Test Architectures, Asian Test Symposium (ATS), pp.200-205, 2009.
Jing-Wun Lin, Chen-Chieh Wang , Chin-Yao Chang, Chung-Ho Chen, K.J. Lee, Yuan-Hua Chu, Jen-Chieh Yeh, and Ying-Chuan Hsiao, Full System Simulation and Verification Framework, International Conference on Information Assurance and Security (ISA), pp. 162-168, 2009.
T.Y. Hsieh, K.J. Lee and Melvin A. Breuer, “Test Pattern Generation for Efficient Identification of Acceptable Chips Based on Error-Tolerance,” The 20th VLSI DESIGN/CAD Symposium, 2009.
Chin-Yao Chang, Yi-Jiun Chang, K.J. Lee, Jen-Chieh Yeh, Pao-Jui Huang and Yuan-Hua Chu, "Design of OCP Wrappers and Protocal Converters for System Integration", The 20th VLSI Design/CAD Symposium, 2008.
Y.-Y. Tsai, Y.-C. Lin, K.-J. Lee, C.-W. Yen, and C.-H. Chen, “A software-based test methodology for direct-mapped data cache,” IEEE Asian Test Symp. (ATS), pp. 363-368, 2008.
T.-H. Lu, C.-H. Chen, and K.-J. Lee, “A hybrid self-testing methodology of processor cores,” IEEE Int’l Symp. on Circuits & Systems (ISCAS), May 18-21, 2008.
L.T. Wang, R. A., S. Wu, B. Sheu, K.J. Lee, X. Wen, W.B. Jone, C.H. Yeh, W.S. Wang, H.J. Chao, J. Guo, ” Turbo1500: Toward Core-Based Design for Test and Diagnosis Using IEEE Std. 1500,” Intl Test Conf, pp. 1-9, 2008.
K.J. Lee , Chin-Yao Chang and Jia-Der Wang, Constructing On-Chip Test Infra-Structure at Electronic System Level, Workshop on RTL and High Level Testing (WRTLT), 2008.
C.-M. Huang, C.-M. Wu, C.-C. Yang, K.-J. Lee, and C.-L. Wey, “Programmable system-on-chip (SoC) for silicon prototyping,” IEEE Int’l Symp. Industrial Electronics, pp. 1976~1981, 2008
Tong-Yu Hsieh, K.J. Lee, C.-L. Lu and M. A. Breuer, A systematic methodology to employ error-tolerance for yield improvement, International Symposium on VLSI Design, Automation and Test, pp.105-108, 2008.
Chin-Yao Chang, K.J. Lee,and Jia-Der Wang, On-Chip Test Platform Design at Electronic System Level, The 19th VLSI Design/CAD Symp, 2008.
Tong-Yu Hsieh, K.J. Lee, and M. A. Breuer, Chip Quality Grading to Enhance Effective Yield" ,The 2nd VLSI Test Technology Workshop, 2008.
Wen-Cheng Huang, Chin-Yao Chang and K.J. Lee, Toward Automatic Synthesis of SOC Test Platforms, VLSI Design, Automation and Test, pp.156-159, 2007.
Tong-Yu Hsieh, K.J. Lee and Jian-Jih You, Test Efficiency Analysis and Improvement of SOC Test Platforms, Asian Test Symposium, pp.463-466, 2007.
Tong-Yu Hsieh, K.J. Lee and M. A. Breuer, Reduction of Detected Acceptable Faults for Yield Improvement via Error-Tolerance, Design, Automation and Test in Europe (DATE), pp. 1599-1604, 2007.
K.J. Lee, T.-Y. Hsieh, and M. A. Breuer, Test pattern generation for a fault-oriented test methodology to improve yield based on error-tolerance, The 1st VLSI Test Technology Workshop, 2007.
Tong-Yu Hsieh, K.J. Lee and Jian-Jih You, Test Efficiency Analysis of SOC Test Platforms," The 18th VLSI Design/CAD Symposium, 2007.
C.-M. Huang, K.-J. Lee, C.-C. Yang, W.-H. Hu, S.-S. Wang, J.-B. Chen, C.-S. Chen, L.-D. Van, C. Wu, W.-C. Tsai, and J.-Y. Jou, “Multi-project system on-chip (MP-SoC): a novel test vehicle for SOC silicon prototyping,” IEEE Int’l SOC Conf., pp.137-140, 2006.
T.-C. Huang, J.-C. Tzeng, Y.-W. Chao, J.-J. Chen, W.-T. Liu, and K.-J. Lee, “A supply-gating scheme for both data-retention and spike-reduction in power management and test scheduling,” IEEE Int’l Symp. on VLSI Design, Automation & Test, pp. 1-4, 2006.
Tong-Yu Hsieh, K.J. Lee and Melvin A. Breuer, An Error-Oriented Test Methodology to Improve Yield with Error-Tolerance, in Proc., VLSI Test Symposium, pp. 130-135, 2006.
Tong-Yu Hsieh, K.J. Lee and Melvin Breuer, Maximizing Yield Improvement via Error-Tolerance by Avoiding Detection of Acceptable Faults, The 17th VLSI Design/CAD Symposium, 2006.
Wen-Cheng Huang and K.J. Lee, DASTEP: A Design Automation System For System-on-Chip Test Platform, The 17th VLSI Design/CAD Symposium, 2006.
K.J. Lee, Tong-Yu Hsieh and Melvin A. Breuer, A Novel Test Methodology Based on Error-Rate to Support Error-Tolerance, International Test Conference, pp. 1136-1144, 2005.
Sheng-Chih Shen, Hung-Min Hsu, Yi-Wei Chang and K.J. Lee, "A High Speed BIST Architecture for DDR-SDRAM Testing," in Proc. IEEE International Workshop on Memory Technology, Design and Testing, pp. 52-57, 2005.
K.-J. Lee, C.-Y. Chu, Y.-T. Hong, “An embedded processor based SOC test platform,” IEEE Int’l Symp. on Circuits and Systems, pp. 2983-2986, 2005.
W.-L. Wang and K.-J. Lee, “A complete memory address generator for scan based march algorithms,” IEEE Int’l Workshop on Memory Technology, Design& Test, pp. 83-88, 2005.
T.-P. Wang, C.-Y. Tsai, M.-D. Shieh and K.-J. Lee, “Efficient test scheduling for hierarchical core based design,” IEEE Int’l Symp. on VLSI Design, Automation, Test, pp. 200-203, 2005.
Tong-Yu Hsieh and K.J. Lee, Error-Rate Estimation for Error-Tolerance and Yield Improvement, The 16th VLSI Design/CAD Symposium, 2005.
Shen-Chih Shen, Hung-Min Hsu, Yi-Wei Chang and K.J. Lee, High-Speed Built-In Self-Test for Double Data Rate Memory, The 16th VLSI Design/CAD Symposium, 2005.
Chih-Haur Huang, Soon-Jyh Chang and K.J. Lee, Design of High-Resolution Pipelined Analog-to-Digital Converters using Multiple-Phase Capacitor-Splitting Feedback Interchange Technique, 2004 Asian Pacific Conf. on Circuits and Systems, pp.625-628, 2004.
Sheng-Chih Shen, You-Chung Lin, Ming-Der Shieh, and K.J. Lee, Efficient Testing and Design-for-Testability Schemes for Multimedia Cores: A Case Study on DCT Circuits, 2004 Asian Pacific Conf. on Circuits and Systems, pp. 177-180, 2004.
Chih-Haur Huang, K.J. Lee and Soon-Jyh Chang, A Low-Cost Diagnosis Methodology for Pipelined A/D Converters, 14th Asian Test Symposium, pp. 296-301, 2004.
K.J. Lee, Shaing-Jer Hsu and Chia-Ming Ho, Test Power Reduction with Multiple Capture Orders, 14th Asian Test Symposium, 2004, pp. 26-31, 2004.
Min-Chien Chen, K.J. Lee and Tsuei-Ling Hsieh. A Hybrid Functional Testing Method for Embedded Processor Cores. The 15th VLSI DESIGN/CAD Symposium, 2004.
Chih-Haur Huang, Soon-Jyh Chang and K.J. Lee. A 12-bit 40MS/s Pipelined A/D Converter using Multiple-Phase Capacitor-Splitting Feedback Interchange Technique.The 15th VLSI DESIGN/CAD Symposium, 2004.
K.J. Lee, Yao-Ching Chiang, Yu-Ting Hung, Jyh-Herng Wang, and Hsiao-Ping Lin. Advanced Scan Architecture for Test Time and Test Volume Reductions. The 15th VLSI DESIGN/CAD Symposium, 2004.
K.J. Lee, Soon-Jyh Chang and Ruei-Shiuan Tzeng. A Sigma-Delta modulation based BIST for A/D Converters. In Proc. 14th Asian Test Symposium, pp. 124-127, 2003.
K.J. Lee, Soon-Jyh Chang and Ruei-Shiuan Tzeng. A Sigma-Delta Modulation Based BIST Scheme for A/D Converters. The 14th VLSI DESIGN/CAD Symposium, 2003.
K.J. Lee and Jih-Jeen Chen. Reducing test application time and power dissipation for scan-based testing via multiple clock disabling. In Proc. 12th Asian Test Symposium, pp. 338-343, 2002.
Wei-Lun Wang and K.J. Lee. A programmable data background generator for march-based memory testing. Asia-Pacific Conference on ASIC, pp. 347-350, 2002.
Jih-Jeen Chen and K.J. Lee. Test scheduling & clock disabling for test time and power reduction. The 13th VLSI DESIGN/CAD Symposium, pp. 456-459, 2002.
Yu-Ting Hung and K.J. Lee. An embedded-processor-driven platform for SOC testing. The 13th VLSI DESIGN/CAD Symposium, pp. 178-181, 2002.
Wei-Lun Wang and K.J. Lee. Accelerated Test Pattern Generators for Mixed-Mode BIST Environments. The 10th Anniversary Compendium of Papers from Asian Test Symposium, pp. 335-340, 2001.
K.J. Lee, J.J. Tang, T.C. huang and C.L. Tsai. Combination of automatic test pattern generation and built-in intermediate voltage sensing for detecting CMOS bridging faults. The 10th Anniversary Compendium of Papers from Asian Test Symposium, pp. 169-174, 2001.
Tsung-Chu Huang and K.J. Lee. A token structure for low power scan design. In Proc. 32nd Intl’ Test Conference, pp. 660-669, 2001.
Tsung-Chu Huang and K.J. Lee. A low-power LFSR architecture. In Proc. 10th Asian Test Symposium, Japan, pp. 470, 2001.
K.J. Lee, Jih-Jeen Chen and Tsung-Chu Huang. Test power reduction for scan-based design. The 12th VLSI DESIGN/CAD Symposium., Paper A3-10, 2001.
Wei-Lun Wang and K.J. Lee. A universal and expandable data background generator for memory testing. The 12th VLSI DESIGN/CAD Symposium, Paper A3-7, 2001.
Tsung-Chu Huang and K.J. Lee. A token structure for low power scan design. The 12th VLSI DESIGN/CAD Symposium, Paper A3-1, 2001.
K.J. Lee and Cheng-I Huang. A Hierarchical Test Control Architecture for Core Based Design. The 9th Asian Test Symposium, pp. 248-253, 2000.
Wei-Lun Wang and K.J. Lee. Accelerated Test Pattern Generators for Mixed-Mode BIST Environments. The 9th Asian Test Symposium, pp. 368-373, 2000.
K.J. Lee, Tsung-Chu Huang, and Jih-Jeen Chen. Test power reduction for multiple scan circuits during test application. The 9th Asian Test Symposium, pp. 453-458, 2000.
Yun-Che Wen and K.J. Lee. An on chip ADC test structure. In Proc. Design And Test Conference of Europe (DATE), pp. 221-225, 2000.
Yun-Che Wen and K.J. Lee. Static parameters testing for A/D converters. The 11th VLSI DESIGN/CAD Symposium, pp. 417-420, 2000.
Tsung-Chu Huang and K.J. Lee. Interleaving Multiple Scan Technique to Reduce Peak-Power. The 11th VLSI DESIGN/CAD Symposium, pp. 385-388, 2000.
K.J. Lee and Cheng-I Huang. A hierarchical test control architecture for core based design. The 11th VLSI DESIGN/CAD Symposium, pp. 227-230, 2000.
W.-B. Jone, D.-S. Huang, and K.-J. Lee, “An efficient BIST method for small buffers,” IEEE VLSI Test Symp., pp. 246-251, 1999.
K.-J. Lee, C.-Y. Hwang, and Y.-K. Tsao, “AFSPG: An automatic faulty SPICE program generation system,” VLSI DESIGN/CAD Symp. pp. 187-190, 1999.
T.-C. Huang and K.-J. Lee, “An input control technique for power reduction in scan circuits during test application,” IEEE Asian Test Symp., pp. 315-319, Nov. 1999.
W.-L. Wang and K.-J. Lee, “A universal March pattern generator for testing embedded memory cores,” IEEE Int’l ASIC/SOC Conf. pp. 228-232, 1999.
K.-J. Lee and W.-C. Wang, “A 0.5μm operational trans-conductance amplifier-capacitor filter with concurrent error detection capability,” IEEE Int'l Analog VLSI Workshop, pp. 103-108, 1999.
W.-L. Wang and K.-J. Lee, “An embedded March algorithm test pattern generator for memory testing,” IEEE Int’l Symp. on VLSI Technology, Systems, and Applications. pp. 211-214, 1999.
K.-J. Lee, M.-C. Huang, and I.-H. Shih, “Functional test sequence generation and compaction for cache memory,” Microprocessor Workshop. pp. 90-93, 1999.
Shih-Chieh Chang, K.J. Lee, Zhong-Zhen Wu and Wen-Ben Jone. Test application time reduction by input signal aharing. In Proc. 10th VLSI DESIGN/CAD Symposium. pp. 115-118, Aug., 1999.
Wei-Chiang Wang and K.J. Lee. A systematic approach to design testable gm-C filters. In Proc. 10th VLSI DESIGN/CAD Symposium, pp. 183-186, 1999.
Tsung-Chu Huang and K.J. Lee. Test power reduction during scan operation via input control. In Proc. 10th VLSI DESIGN/CAD Symposium, pp. 107-110, 1999.
W.B. Jone, D.C. Huang, S.C. Wu and K.J. Lee. A parallel testing method for embedded small buffers. In Proc. Microprocessor Workshop, pp. 83-89, 1999.
K.J. Lee, Jih-Jeen Chen and Cheng-Hua Huang. Reducing test application time via input sharing. In Proc. Microprocessor Workshop, pp. 77-82, 1999.
K.-J. Lee, J.-J. Tang, W.-Y. Du, and T.-C. Huang, “On the determination of threshold voltages for CMOS gates to facilitate test pattern generation and fault simulation,” IEEE Asian Test Symp., pp.113-118, 1998.
K.-J. Lee, J.-J. Chen, and C.-H. Huang, “Using a single input to support multiple scan chains,” IEEE Int'l Conf. on Computer-Aided Design. pp. 74-78, 1998.
K.J. Lee and Jing-Jong Tang, Wern-Yih Du and Tsung-Chu Huang. On the determination of threshold voltages for CMOS gates to faciliate test pattern generation and fault simulation. In Proc. 9th VLSI DESIGN/CAD Symp, pp. 173-176, 1998.
K.J. Lee, Min-Cheng Huang and Ing-Heng Shih. Functional testing for cache memory. In Proc. 9th VLSI DESIGN/CAD Symposium, pp. 165-168, 1998.
Tsung-Chu Huang and K.J. Lee. A new built-in current sensor for deep submicron CMOS ICs. In Proc. 9th VLSI DESIGN/CAD Symposium, pp. 141-144, 1998.
K.-J. Lee and T.-C. Huang, “Bulk-driven technique for current testing,” IEEE Int'l Conf. on Chip Technology. pp. 158-165, 1998.
T.-C. Huang and M.-C. Huang, K.-J. Lee, “Built-in current sensor designs based on the bulk-driven techniques,” IEEE Asian Test Symp. pp. 384-388, 1997.
T.-C. Huang, M.-C. Huang, and K.-J. Lee, “A high-speed low-voltage built-in current sensor,” IEEE Int'l Workshop on IDDQ Testing, pp. 90-94, 1997.
K.J. Lee, Tsung-Chu Huang and Min-Cheng Huang. A low-voltage built-in current sensing technique. In Proc. 8th VLSI DESIGN/CAD Symposium, pp. 51-54, 1997.
C.-L. Lee, J.-Y. Jou, C.-S. Lin, J.-E. Chen, C.-W. Wu, K.-J. Lee, and C.-C. Su, “A joint project to develop a VLSI testing and design-for-testability course for universities in Taiwan,” IEEE Int’l Conf. on Engineering Education, pp. 43-53, 1997.
K.-J. Lee, J.-J. Tang, T.-C. Huang, and C.-L. Tsai, “Combination of automatic test pattern generation and built-in intermediate voltage sensing for detecting CMOS bridging faults,” IEEE Asian Test Symp., pp. 100-105, Nov. 1996.
K.-J. Lee and J.-J. Tang, “Two modeling techniques for CMOS circuits to enhance test generation and fault simulation for bridging faults,” IEEE Asian Test Symp., pp. 165-170, Nov. 1996.
K.S. Huang and K.-J. Lee, “A current-mode testable and diagnosable design of OTA-C filters,” HD-Media Workshop, pp. A5-5/25-30, Nov. 1996.
K.J. Lee, J.J. Tang, T.C. Huang and C.L. Tsai. Analysis of resistive inter-gate bridging faults In CMOS circuits. In Proc.7th VLSI DESIGN/CAD Symposium, pp.73-76, Aug. 1996.
T.-P. Lee, K.-J. Lee, and R.-C. Wen, “System level testing for mixed-mode circuits,” HD-Media Workshop, pp. PO1.14-PO1.19, Nov. 1995.
J.-L. Kuo and K.-J. Lee, “A novel fault tolerant architecture for video filters,” HD-Media Workshop, pp. PO1.20-PO1.25, Nov. 1995.
J.J. Tang, K.J. Lee and B.D. Liu. Analysis of resistive inter-gate bridging faults In CMOS circuits. In Proc. 6th VLSI DESIGN/CAD Symposium, pp. 93-96, Aug. 1995.
K.J. Lee, J.J. Tang and C.Y. Chen. A simple and effective design of built-in intermediate voltage sensors. In Proc. 6th VLSI DESIGN/CAD Symposium, pp. 43-46, Aug. 1995.
K.J.Lee, J.J. Tang and Kou-Sheng Huang. A built-in current sensor based on current-mode and dual-power design. In Proc. 6th VLSI DESIGN/CAD Symposium, pp. 26-29, Aug. 1995.
K.-J. Lee, S.-Y. Jeng, and T.-P. Lee, “A new architecture for analog boundary scan,” IEEE Int'l Symp. on Circuits and Systems, pp. 409-412, May 1995.
J.-J. Tang, B.-D. Liu and K.-J. Lee, “An IDDQ fault model to facilitate the design of built-in current sensors (BICSs),” IEEE Int'l Symp. on Circuits and Systems, pp. 393-396, May 1995.
J.-J. Tang, K.-J. Lee, and B.-D. Liu, “Built-in intermediate voltage testing for CMOS circuits,” IEEE European Design and Test Conf., pp. 372-376, Mar. 1995.
C.-H. Kuo and K.-J. Lee, “Concurrent error detection, diagnosis and fault tolerance for operational trans-conductance amplifier capacitor based video filters,” HD-Media Workshop, pp.PO2.1-PO2.6, Oct. 1994. (Best paper award)
K.J. Lee, J.J. Tang and B.D. Liu. Built-in intermediate voltage testing for CMOS circuits. In Proc. 5th VLSI DESIGN/CAD Symposium, pp. 245-250, Aug. 1994.
K.J. Lee and Cheng-Hsuing Kuo. Concurrent error detection, diagnosis and fault tolerance for switched-capacitor filters. In Proc. 5th VLSI DESIGN/CAD Symposium, pp.205-210, Aug. 1994.
K.-J. Lee, M.-H. Lu, and J.-F. Wang, “A systematic method to classify scan cells,” IEEE Asian Test Symp., pp.219-224, Nov. 1993.
J.-J. Tang, K.-J. Lee, and B.-D. Liu, “A real time IDDQ testing scheme using current conveyor technique,” Int'l Symp. on IC Technology, Systems & Applications, pp.348-352, Sep. 1993.
J.-J. Tang, K.-J. Lee, and B.-D. Liu, “Maximum fault diagnosis resolution for programmable logic array,” Int'l Symp. on IC Technology, Systems & Applications, pp.100-104, Sep. 1993.
J. J. Tang, K.J. Lee, and B.D. Liu. "A new current sensing technique for IDDQ testing." In Proc. 4th VLSI DESIGN/CAD Workshop, pp.166-170, Aug. 1993.
J.-J. Tang, K.-J. Lee, and B.-D. Liu, “A new representation for programmable logic arrays to facilitate testing and logic design,” IEEE TENCON'93, pp.561-564, Oct. 1993
W.-L. Wang, K.-J. Lee, and J.-F. Wang, “Design of real time fault detectors using linear feedback shift registers,” IEEE Int'l Electron Devices & Material Symp., pp.193-195, Nov. 1992.
W.-L. Wang, J.-F. Wang, and K.-J. Lee, “A fast testing method for sequential circuits at the state transition level,” IEEE Int'l Test Conf., pp. 514-519, Sep. 1992.
K.-J. Lee, C.A. Njinda, and M.A. Breuer, “SWiTEST: A switch level test generation system for CMOS combinational circuits,” IEEE Design Automation Conf., pp. 26-29, Jun. 1992.
K.-J. Lee and M.A. Breuer, “Constraints for using IDDQ testing to detect CMOS bridging faults,” IEEE VLSI Test Symp., pp. 303-308, May 1991.
K.-J. Lee and M.A. Breuer, “A new method for assigning signal flow directions to MOS transistors” IEEE Int'l Conf. on Computer-Aided Design, pp. 492-495, Nov. 1990.
K.-J. Lee and M.A. Breuer, “On the charge sharing problem in CMOS stuck-open fault testing,” IEEE Int’l Test Conf., pp. 417-426, Sep. 1990.
K.-J. Lee and M.A. Breuer, “On detecting single and multiple bridging faults In CMOS circuits using the current supply monitoring method,” IEEE Int’l Symp. on Circuits and Systems, pp. 5-8, May 1990.
K.-J. Lee and M.A. Breuer, “A universal test sequence for CMOS scan registers,” IEEE Custom Integrated Circuit Conf., pp. 28.5.1-28.5.4, May 1990
M.A. Breuer, R. Gupta, R. Gupta, K.-J. Lee, and J.C. Lien, “Knowledge-based systems for test and diagnosis,” IIFIP Workshop on KBS for Test & Diagnosis, Grenoble, France, pp. 3-28, Sep. 1988.